summaryrefslogtreecommitdiff
path: root/doc/ale-r.txt
blob: b5ccebe5e2933ed29f9d2641afc900b5d524eff0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
===============================================================================
ALE R Integration                                               *ale-r-options*


===============================================================================
lintr                                                             *ale-r-lintr*

g:ale_r_lintr_options                                   *g:ale_r_lintr_options*
                                                        *b:ale_r_lintr_options*
  Type: |String|
  Default: `'lintr::with_defaults()'`

  This option can be configured to change the options for lintr.

  The value of this option will be run with `eval` for the `lintr::lint`
  options. Consult the lintr documentation for more information.


g:ale_r_lintr_lint_package                         *g:ale_r_lintr_lint_package*
                                                   *b:ale_r_lintr_lint_package*
  Type: |Number|
  Default: `0`

  When set to `1`, the file will be checked with `lintr::lint_package` instead
  of `lintr::lint`. This prevents erroneous namespace warnings when linting 
  package files.


===============================================================================
styler                                                           *ale-r-styler*

g:ale_r_styler_options                                 *g:ale_r_styler_options*
                                                       *b:ale_r_styler_options*
  Type: |String|
  Default: `'styler::tidyverse_style'`

  This option can be configured to change the options for styler.

  The value of this option will be used as the `style` argument for the 
  `styler::style_file` options. Consult the styler documentation 
  for more information.


===============================================================================
  vim:tw=78:ts=2:sts=2:sw=2:ft=help:norl: