summaryrefslogtreecommitdiff
path: root/doc/ale-verilog.txt
diff options
context:
space:
mode:
authorTarik Graba <tarikgraba@users.noreply.github.com>2017-06-29 10:15:52 +0200
committerw0rp <w0rp@users.noreply.github.com>2017-06-29 09:15:52 +0100
commit01ecf2a75f60fff8884ad7858da44b3c5f71bc11 (patch)
treee22a78c39ecf0a71612ee71e4c10f9ed99e249d9 /doc/ale-verilog.txt
parent7eec1f2efc01b0674ccc96baaa4f529d803ddf55 (diff)
downloadale-01ecf2a75f60fff8884ad7858da44b3c5f71bc11.zip
Adds an option to pass additional arguments to the verilog/verilator … (#698)
* Adds an option to pass additional arguments to the verilog/verilator linter The new otion is g:ale_verilog_verilator_options + doc * Spell check verilog linter doc file * Add entries to the verilog linters in the doc table of content * Vader test for verilog/verilator linter args option verilog_verilator_options
Diffstat (limited to 'doc/ale-verilog.txt')
-rw-r--r--doc/ale-verilog.txt43
1 files changed, 43 insertions, 0 deletions
diff --git a/doc/ale-verilog.txt b/doc/ale-verilog.txt
new file mode 100644
index 00000000..6566ad42
--- /dev/null
+++ b/doc/ale-verilog.txt
@@ -0,0 +1,43 @@
+===============================================================================
+ALE Verilog/SystemVerilog Integration *ale-verilog-options*
+
+
+-------------------------------------------------------------------------------
+ALE can use two different linters for Verilog HDL:
+
+ iverilog:
+ Using `iverilog -t null -Wall`
+
+ verilator
+ Using `verilator --lint-only -Wall`
+
+By default, both 'verilog' and 'systemverilog' filetypes are checked.
+
+You can limit 'systemverilog' files to be checked using only 'verilator' by
+defining 'g:ale_linters' variable:
+>
+ au FileType systemverilog
+ \ let g:ale_linters = {'systemverilog' : ['verilator'],}
+<
+
+-------------------------------------------------------------------------------
+iverilog *ale-verilog-iverilog*
+
+ No additional options
+
+
+-------------------------------------------------------------------------------
+verilator *ale-verilog-verilator*
+
+g:ale_verilog_verilator_options *g:ale_verilog_verilator_options*
+ *b:ale_verilog_verilator_options*
+ Type: |String|
+ Default: `''`
+
+ This variable can be changed to modify 'verilator' command arguments
+
+ For example `'-sv --default-language "1800-2012"'` if you want to enable
+ SystemVerilog parsing and select the 2012 version of the language.
+
+-------------------------------------------------------------------------------
+ vim:tw=78:ts=2:sts=2:sw=2:ft=help:norl: