summaryrefslogtreecommitdiff
path: root/ale_linters/verilog
diff options
context:
space:
mode:
authormshr-h <mshr-h@users.noreply.github.com>2016-10-08 21:38:31 +0900
committermshr-h <mshr-h@users.noreply.github.com>2016-10-08 21:39:54 +0900
commite59264023a83bca3092eb4e22de24714eb503044 (patch)
tree583a431cf0388ad5342a36fc9596399842aa3865 /ale_linters/verilog
parentedc5cedd8ce019d4d06931ec8b449a5179153b71 (diff)
downloadale-e59264023a83bca3092eb4e22de24714eb503044.zip
Add verilator for verilog
Diffstat (limited to 'ale_linters/verilog')
-rw-r--r--ale_linters/verilog/verilator.vim50
1 files changed, 50 insertions, 0 deletions
diff --git a/ale_linters/verilog/verilator.vim b/ale_linters/verilog/verilator.vim
new file mode 100644
index 00000000..ef0c6b3c
--- /dev/null
+++ b/ale_linters/verilog/verilator.vim
@@ -0,0 +1,50 @@
+if exists('g:loaded_ale_linters_verilog_verilator')
+ finish
+endif
+
+let g:loaded_ale_linters_verilog_verilator = 1
+
+function! ale_linters#verilog#verilator#Handle(buffer, lines)
+ " Look for lines like the following.
+ "
+ " %Error: addr_gen.v:3: syntax error, unexpected IDENTIFIER
+ " %Warning-WIDTH: addr_gen.v:26: Operator ASSIGNDLY expects 12 bits on the Assign RHS, but Assign RHS's CONST '20'h0' generates 20 bits.
+ " %Warning-UNUSED: test.v:3: Signal is not used: a
+ " %Warning-UNDRIVEN: test.v:3: Signal is not driven: clk
+ " %Warning-UNUSED: test.v:4: Signal is not used: dout
+ " %Warning-BLKSEQ: test.v:10: Blocking assignments (=) in sequential (flop or latch) block; suggest delayed assignments (<=).
+ let pattern = '^%\(Warning\|Error\)[^:]*:[^:]\+:\(\d\+\): \(.\+\)$'
+ let output = []
+
+ for line in a:lines
+ let l:match = matchlist(line, pattern)
+
+ if len(l:match) == 0
+ continue
+ endif
+
+ let line = l:match[2] + 0
+ let type = l:match[1] ==# 'Error' ? 'E' : 'W'
+ let text = l:match[3]
+
+ call add(output, {
+ \ 'bufnr': a:buffer,
+ \ 'lnum': line,
+ \ 'vcol': 0,
+ \ 'col': 1,
+ \ 'text': text,
+ \ 'type': type,
+ \ 'nr': -1,
+ \})
+ endfor
+
+ return output
+endfunction
+
+call ALEAddLinter('verilog', {
+\ 'name': 'verilator',
+\ 'output_stream': 'stderr',
+\ 'executable': 'verilator',
+\ 'command': g:ale#util#stdin_wrapper . ' .v verilator --lint-only -Wall -Wno-DECLFILENAME',
+\ 'callback': 'ale_linters#verilog#verilator#Handle',
+\})