summaryrefslogtreecommitdiff
path: root/doc/ale-verilog.txt
AgeCommit message (Collapse)Author
2019-01-27Add VHDL Support & Newer Verilog Linters (#2229)John Gentile
* Added VHDL file support with ghdl compiler * Update ghdl.vim * Create vcom.vim * Create xvhdl.vim * Update xvlog.vim * Added documentation for VHDL & Verilog linters * Added tests to VHDL & Verilog linters
2017-07-08Use equal signs for language documentation sectionsw0rp
2017-06-29Adds an option to pass additional arguments to the verilog/verilator … (#698)Tarik Graba
* Adds an option to pass additional arguments to the verilog/verilator linter The new otion is g:ale_verilog_verilator_options + doc * Spell check verilog linter doc file * Add entries to the verilog linters in the doc table of content * Vader test for verilog/verilator linter args option verilog_verilator_options