summaryrefslogtreecommitdiff
path: root/ale_linters/verilog
AgeCommit message (Collapse)Author
2021-07-12Add Yosys linter for Verilog files. (#3713)Nathan Sharp
* Add yosys for verilog files. * Add handler test for yosys. * fix typo in yosys handler test * fix array order in yosys handler test * add yosys linter to filetype defaults test * fix duplicate tag * add 'yosys' to 'ale-supported-languages-and-tools.txt'
2021-02-11Verilator current file search path (#3500)Tarik Graba
* Simplify verilator linter using ale command format strings * Verilator Linter: Restructure linter command tests * Verilator Linter: adds to the handler test the returned filename * Verilator Linter: add the current file path to the search path * Verilator Linter: Add the search path to the tests Co-authored-by: TG <tarik.graba@telecom-paris.fr>
2020-08-14Merge pull request #2924 from patrick96/vlog-filenamew0rp
verilog: Add filename to vlog linter output
2020-08-06Adds hdl_checker LSP support (#2804)Andre Souto
* Added hdl_checker support * Added hdl_checker tests HDL Checker searches for files when no config file is found, which could lead to very long searches when the user is not really on a project setting
2020-04-18Adds column number to the verilator verilog linterTG
Since version 4.032 (04/2020) verilator linter messages also contain the column number, and look like: %Error: /tmp/test.sv:3:1: syntax error, unexpected endmodule, expecting ';' To stay compatible with old versions of the tool, the column number is optional in the researched pattern regular expression. See commit: https://github.com/verilator/verilator/commit/81c659957e89f28861fde870f000cce2d5f76729
2019-12-05verilog: Add filename to vlog linter outputpatrick96
2019-10-05Correct vint-discovered advisory in vlog handlerThomas A. Werne
2019-10-05Update vlog parser to handle new output formatThomas A. Werne
Re #2812, the parser now takes a second pass through the output using an updated regex.
2019-02-22#2132 - Replace all uses of foo_callback with foow0rp
2019-02-06Update syntax checkingw0rp
* Line continuation characters should be on the same lines. * .vim file line indentation should be a multiple of 4.
2019-01-27Add VHDL Support & Newer Verilog Linters (#2229)John Gentile
* Added VHDL file support with ghdl compiler * Update ghdl.vim * Create vcom.vim * Create xvhdl.vim * Update xvlog.vim * Added documentation for VHDL & Verilog linters * Added tests to VHDL & Verilog linters
2019-01-26#2132 Unify temporary file management in command.vimw0rp
2018-07-12Fix #1716 - Replace tempdir() with a wrapper to preserve TMPDIRw0rp
2017-11-19Make options configurable for iverilog (#1143)RedBug312
2017-08-08Ban use of ==# or ==? in the codebase, and prefer is# or is? insteadw0rp
2017-08-05Fix #823 - Write Windows files with CRLFw0rp
2017-06-29Adds an option to pass additional arguments to the verilog/verilator … (#698)Tarik Graba
* Adds an option to pass additional arguments to the verilog/verilator linter The new otion is g:ale_verilog_verilator_options + doc * Spell check verilog linter doc file * Add entries to the verilog linters in the doc table of content * Vader test for verilog/verilator linter args option verilog_verilator_options
2017-05-12#549 Temporarily revert shell escaping changes, just for Windowsw0rp
2017-05-08#540 Fix shell escaping pretty much everywherew0rp
2017-04-18Add a function for getting matches, and use it to simplify a lot of codew0rp
2017-03-30Remove 'col' from linters where it is hardcoded to 1 (#434)Adriaan Zonnenberg
* Remove 'col' from linters where it is hardcoded to 1 When 'col' is 1, the first column will get highlighted for no reason. It should be 0 (which is the default). In the scalac linter there was also a check about the outcome of `stridx`. It would set l:col to 0 if it was -1, and then it uses `'col': l:col + 1` to convert the outcome of `stridx` to the actual column number. This will make 'col' equals 1 when there is no match. We can remove the check because `-1 + 1 = 0`. * Remove outdated comments about vcol vcol was added as a default, and the loclists that follow these comments do not contain 'vcol' anymore
2017-02-26Copy all loclist items returned from handlers, and set up defaults for ↵w0rp
convenience
2017-02-11Replace every stdin-wrapper script with the new %t formatting supportw0rp
2017-01-22Add a script for custom checks to enforce using the abort flag for functions ↵w0rp
and trailing whitespace, and fix existing issues.
2017-01-15Improve Verilator support (#205) (#258)Masahiro H
* improve-verilator-support * fix for linter
2016-10-21Add support for dot-seperate linters, improve linter testsBjorn Neergaard
This PR first and formost implements support for dot-seperate filetypes, a very trivial change. This closes #132 But more importantly, this PR vastly improves the test quality for `ale#linter#Get`. It enables us to reset the state of ale's internal linter cache, to facilitate better testing, as well as making use of mocked linters instead of depending on linters on disk (which may change). In addition, a dummy linter is defined to test the autoloading behavior. Header guards were removed from all linters as: * A: ale won't try and load linters if they already exist in memory * B: we can't reset state for testing if they can't be loaded again
2016-10-11Match both error and syntax error in verilogBjorn Neergaard
2016-10-11Default handlers to warningBjorn Neergaard
2016-10-11Use explicit scope in all ale_lintersBjorn Neergaard
vint -s is now clean
2016-10-10First pass at optimizing ale to autoload (#80)Bjorn Neergaard
* First pass at optimizing ale to autoload First off, the structure/function names should be revised a bit, but I will wait for @w0rp's input before unifying the naming style. Second off, the docs probably need some more work, I just did some simple find-and-replace work. With that said, this pull brings major performance gains for ale. On my slowest system, fully loading ale and all its code takes around 150ms. I have moved all of ale's autoload-able code to autoload/, and in addition, implemented lazy-loading of linters. This brings load time on that same system down to 5ms. The only downside of lazy loading is that `g:ale_linters` cannot be changed at runtime; however, it also speeds up performance at runtime by simplfying the logic greatly. Please let me know what you think! Closes #59 * Address Travis/Vint errors For some reason, ale isn't running vint for me... * Incorporate feedback, make fixes Lazy-loading logic is much improved. * Add header comments; remove incorrect workaround * Remove unneeded plugin guards * Fix lazy-loading linter logic Set the wrong variable.... * Fix capitialization
2016-10-09Add comment headers to verilog lintersmshr-h
2016-10-08Add verilator for verilogmshr-h
2016-10-08Add iverilog for verilog (#63)Masahiro H
* Add iverilog for verilog * Remove extra spacing/blank line * Set column to 1