summaryrefslogtreecommitdiff
path: root/ale_linters/verilog/iverilog.vim
diff options
context:
space:
mode:
Diffstat (limited to 'ale_linters/verilog/iverilog.vim')
-rw-r--r--ale_linters/verilog/iverilog.vim2
1 files changed, 1 insertions, 1 deletions
diff --git a/ale_linters/verilog/iverilog.vim b/ale_linters/verilog/iverilog.vim
index 97d4a1f1..d10661d7 100644
--- a/ale_linters/verilog/iverilog.vim
+++ b/ale_linters/verilog/iverilog.vim
@@ -1,7 +1,7 @@
" Author: Masahiro H https://github.com/mshr-h
" Description: iverilog for verilog files
-function! ale_linters#verilog#iverilog#Handle(buffer, lines)
+function! ale_linters#verilog#iverilog#Handle(buffer, lines) abort
" Look for lines like the following.
"
" tb_me_top.v:37: warning: Instantiating module me_top with dangling input port 1 (rst_n) floating.