summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rw-r--r--README.md2
-rw-r--r--ale_linters/text/redpen.vim9
-rw-r--r--doc/ale.txt2
3 files changed, 11 insertions, 2 deletions
diff --git a/README.md b/README.md
index 891692c7..25fb72b0 100644
--- a/README.md
+++ b/README.md
@@ -149,7 +149,7 @@ formatting.
| Tcl | [nagelfar](http://nagelfar.sourceforge.net) !! |
| Terraform | [tflint](https://github.com/wata727/tflint) |
| Texinfo | [proselint](http://proselint.com/), [write-good](https://github.com/btford/write-good)|
-| Text^ | [proselint](http://proselint.com/), [vale](https://github.com/ValeLint/vale), [write-good](https://github.com/btford/write-good) |
+| Text^ | [proselint](http://proselint.com/), [vale](https://github.com/ValeLint/vale), [write-good](https://github.com/btford/write-good), [redpen](http://redpen.cc/) |
| Thrift | [thrift](http://thrift.apache.org/) |
| TypeScript | [eslint](http://eslint.org/), [prettier](https://github.com/prettier/prettier), [tslint](https://github.com/palantir/tslint), tsserver, typecheck |
| Verilog | [iverilog](https://github.com/steveicarus/iverilog), [verilator](http://www.veripool.org/projects/verilator/wiki/Intro) |
diff --git a/ale_linters/text/redpen.vim b/ale_linters/text/redpen.vim
new file mode 100644
index 00000000..ec4433b9
--- /dev/null
+++ b/ale_linters/text/redpen.vim
@@ -0,0 +1,9 @@
+" Author: rhysd https://rhysd.github.io
+" Description: Redpen, a proofreading tool (http://redpen.cc)
+
+call ale#linter#Define('text', {
+\ 'name': 'redpen',
+\ 'executable': 'redpen',
+\ 'command': 'redpen -f plain -r json %t',
+\ 'callback': 'ale#handlers#redpen#HandleRedpenOutput',
+\})
diff --git a/doc/ale.txt b/doc/ale.txt
index 1d2bed31..4fb71004 100644
--- a/doc/ale.txt
+++ b/doc/ale.txt
@@ -341,7 +341,7 @@ Notes:
* Tcl: `nagelfar`!!
* Terraform: `tflint`
* Texinfo: `proselint`, `write-good`
-* Text^: `proselint`, `vale`, `write-good`
+* Text^: `proselint`, `vale`, `write-good`, `redpen`
* Thrift: `thrift`
* TypeScript: `eslint`, `prettier`, `tslint`, `tsserver`, `typecheck`
* Verilog: `iverilog`, `verilator`