summaryrefslogtreecommitdiff
path: root/test/command_callback/test_iverilog_command_callback.vader
diff options
context:
space:
mode:
authorw0rp <devw0rp@gmail.com>2017-11-19 23:06:12 +0000
committerw0rp <devw0rp@gmail.com>2017-11-19 23:06:12 +0000
commitcc04a7aaa030de9e030728b86c0428de9cbb112c (patch)
tree94fad5656410a2f8e0db3583b9303002e4ad2223 /test/command_callback/test_iverilog_command_callback.vader
parent1afe2992e29c40e445ce29394d8923eb85cec6e2 (diff)
downloadale-cc04a7aaa030de9e030728b86c0428de9cbb112c.zip
Make the iverilog tests match the other tests
Diffstat (limited to 'test/command_callback/test_iverilog_command_callback.vader')
-rw-r--r--test/command_callback/test_iverilog_command_callback.vader24
1 files changed, 24 insertions, 0 deletions
diff --git a/test/command_callback/test_iverilog_command_callback.vader b/test/command_callback/test_iverilog_command_callback.vader
new file mode 100644
index 00000000..2c633178
--- /dev/null
+++ b/test/command_callback/test_iverilog_command_callback.vader
@@ -0,0 +1,24 @@
+Before:
+ Save g:ale_verilog_iverilog_options
+
+ unlet! g:ale_verilog_iverilog_options
+
+ runtime ale_linters/verilog/iverilog.vim
+
+After:
+ Restore
+
+ call ale#linter#Reset()
+
+Execute(The default iverilog command should be correct):
+ AssertEqual
+ \ 'iverilog -t null -Wall %t',
+ \ ale_linters#verilog#iverilog#GetCommand(bufnr(''))
+
+Execute(iverilog options should be configurable):
+ " Additional args for the linter
+ let g:ale_verilog_iverilog_options = '-y.'
+
+ AssertEqual
+ \ 'iverilog -t null -Wall -y. %t',
+ \ ale_linters#verilog#iverilog#GetCommand(bufnr(''))