summaryrefslogtreecommitdiff
path: root/ale_linters/vhdl/ghdl.vim
diff options
context:
space:
mode:
authorJohn Gentile <johncgentile17@gmail.com>2019-01-27 04:46:33 -0500
committerw0rp <w0rp@users.noreply.github.com>2019-01-27 09:46:33 +0000
commitb8bf7b220d0f7ab461ed830b125f9dbc42a7836a (patch)
tree0f4b112c5c082b156ca8393d3242cb3fe762bfd8 /ale_linters/vhdl/ghdl.vim
parent91c1fc3bb396dfcb495c484db7d39193df8826eb (diff)
downloadale-b8bf7b220d0f7ab461ed830b125f9dbc42a7836a.zip
Add VHDL Support & Newer Verilog Linters (#2229)
* Added VHDL file support with ghdl compiler * Update ghdl.vim * Create vcom.vim * Create xvhdl.vim * Update xvlog.vim * Added documentation for VHDL & Verilog linters * Added tests to VHDL & Verilog linters
Diffstat (limited to 'ale_linters/vhdl/ghdl.vim')
-rw-r--r--ale_linters/vhdl/ghdl.vim37
1 files changed, 37 insertions, 0 deletions
diff --git a/ale_linters/vhdl/ghdl.vim b/ale_linters/vhdl/ghdl.vim
new file mode 100644
index 00000000..2aef6cd5
--- /dev/null
+++ b/ale_linters/vhdl/ghdl.vim
@@ -0,0 +1,37 @@
+" Author: John Gentile <johncgentile17@gmail.com>
+" Description: Adds support for `ghdl` VHDL compiler/checker
+
+call ale#Set('vhdl_ghdl_executable', 'ghdl')
+" Compile w/VHDL-2008 support
+call ale#Set('vhdl_ghdl_options', '--std=08')
+
+function! ale_linters#vhdl#ghdl#GetCommand(buffer) abort
+ return '%e -s ' . ale#Pad(ale#Var(a:buffer, 'vhdl_ghdl_options')) . ' %t'
+endfunction
+
+function! ale_linters#vhdl#ghdl#Handle(buffer, lines) abort
+ " Look for 'error' lines like the following:
+ " dff_en.vhd:41:5:error: 'begin' is expected instead of 'if'
+ " /path/to/file.vhdl:12:8: no declaration for "i0"
+ let l:pattern = '^[a-zA-Z0-9\-\.\_\/ ]\+:\(\d\+\):\(\d\+\):\(.*\)'
+ let l:output = []
+
+ for l:match in ale#util#GetMatches(a:lines, l:pattern)
+ call add(l:output, {
+ \ 'lnum': l:match[1] + 0,
+ \ 'col' : l:match[2] + 0,
+ \ 'text': l:match[3],
+ \ 'type': 'E',
+ \})
+ endfor
+
+ return l:output
+endfunction
+
+call ale#linter#Define('vhdl', {
+\ 'name': 'ghdl',
+\ 'output_stream': 'stderr',
+\ 'executable_callback': ale#VarFunc('vhdl_ghdl_executable'),
+\ 'command_callback': 'ale_linters#vhdl#ghdl#GetCommand',
+\ 'callback': 'ale_linters#vhdl#ghdl#Handle',
+\})