summaryrefslogtreecommitdiff
path: root/ale_linters/verilog/verilator.vim
diff options
context:
space:
mode:
authorw0rp <devw0rp@gmail.com>2019-01-26 19:33:52 +0000
committerw0rp <devw0rp@gmail.com>2019-01-26 19:33:52 +0000
commitcf14d0aa53e9b51f4987dbfd3913183901bb2805 (patch)
tree8b4cbeeee01c2c40cdc725ddddcfa31cec85ccd1 /ale_linters/verilog/verilator.vim
parentf12d312aa4aa49c4698056933030cd5adb60b489 (diff)
downloadale-cf14d0aa53e9b51f4987dbfd3913183901bb2805.zip
#2132 Unify temporary file management in command.vim
Diffstat (limited to 'ale_linters/verilog/verilator.vim')
-rw-r--r--ale_linters/verilog/verilator.vim2
1 files changed, 1 insertions, 1 deletions
diff --git a/ale_linters/verilog/verilator.vim b/ale_linters/verilog/verilator.vim
index 83d5f59d..18d99043 100644
--- a/ale_linters/verilog/verilator.vim
+++ b/ale_linters/verilog/verilator.vim
@@ -10,7 +10,7 @@ function! ale_linters#verilog#verilator#GetCommand(buffer) abort
let l:filename = ale#util#Tempname() . '_verilator_linted.v'
" Create a special filename, so we can detect it in the handler.
- call ale#engine#ManageFile(a:buffer, l:filename)
+ call ale#command#ManageFile(a:buffer, l:filename)
let l:lines = getbufline(a:buffer, 1, '$')
call ale#util#Writefile(a:buffer, l:lines, l:filename)